Differences

This shows you the differences between two versions of the page.

Link to this comparison view

Both sides previous revisionPrevious revision
Next revision
Previous revision
vivado:getting_started:2018.2 [2018/08/09 18:45] Arthur Brownvivado:getting_started:2018.2 [2023/04/27 17:10] (current) – [10. Finished!] James Colvin
Line 1: Line 1:
 ====== Getting Started with Vivado ====== ====== Getting Started with Vivado ======
 +<WRAP center round important 60%>
 +For the most up to date version of this guide, please visit [[programmable-logic/guides/getting-started-with-vivado|Getting Started with Vivado for Hardware-Only Designs]].
 +</WRAP>
 +
 +
 ===== Introduction ===== ===== Introduction =====
 The goal of this guide is to familiarize the reader with the Vivado tools by building the "Hello World" of hardware, blinking an LED. The goal of this guide is to familiarize the reader with the Vivado tools by building the "Hello World" of hardware, blinking an LED.
Line 12: Line 17:
   * **Xilinx Vivado 2018.2 and Digilent Board Files**   * **Xilinx Vivado 2018.2 and Digilent Board Files**
     * //Other versions of Vivado may work, but functionality is not guaranteed//     * //Other versions of Vivado may work, but functionality is not guaranteed//
-    * //See the [[:vivado:installing-vivado:2018.2|Installing Vivado and Digilent Board Files]] tutorial for more information.//+    * //See the [[programmable-logic:guides:installing-vivado-and-sdk|Installing Vivado and Digilent Board Files]] tutorial for more information.//
  
 ---- ----
Line 50: Line 55:
   * **Open Project:** This button will open a file browser. Navigate to the desired Xilinx Project (.xpr) file and click **Open** to open the project in Vivado.   * **Open Project:** This button will open a file browser. Navigate to the desired Xilinx Project (.xpr) file and click **Open** to open the project in Vivado.
  
-  * **Open Example Project:** This will guide the user through creating a new project based on an example project. These projects will not work on all devices. Many Digilent example projects are instead released on Github, and linked to through the target FPGA System Board's Resource Center, which can be found through the list of [[:reference:programmable-logic:start|List of Digilent FPGA System Boards]].+  * **Open Example Project:** This will guide the user through creating a new project based on an example project. These projects will not work on all devices. Many Digilent example projects are instead released on Github, and linked to through the target FPGA System Board's Resource Center, which can be found through the list of [[programmable-logic:start|List of Digilent FPGA System Boards]].
  
   * **Open Hardware Manager:** This will open the Hardware Manager without an associated project. If connecting to and programming a device is all that the user wants to do, then this is the button to use.   * **Open Hardware Manager:** This will open the Hardware Manager without an associated project. If connecting to and programming a device is all that the user wants to do, then this is the button to use.
Line 522: Line 527:
 One of the LEDs on the programmed board will now be blinking! The rate at which the LED blinks depends on the speed of the clock used by the FPGA. Given that the counter created in the Verilog source file takes 2<sup>25</sup> clock cycles to roll over, for a 100MHz clock, the LED will blink three times per second. One possible next step to learn some more about verilog would be to try to modify the Verilog source file so that the LED blinks only once per second. There are many good resources online to learn more about Verilog and other hardware description languages, far more than could be listed here. One of the LEDs on the programmed board will now be blinking! The rate at which the LED blinks depends on the speed of the clock used by the FPGA. Given that the counter created in the Verilog source file takes 2<sup>25</sup> clock cycles to roll over, for a 100MHz clock, the LED will blink three times per second. One possible next step to learn some more about verilog would be to try to modify the Verilog source file so that the LED blinks only once per second. There are many good resources online to learn more about Verilog and other hardware description languages, far more than could be listed here.
  
-Be sure to visit the resource center for the FPGA board used for more tutorials and demo projects. A link to each resource center can be found [[https://reference.digilentinc.com/reference/programmable-logic/start|here]].+Be sure to visit the resource center for the FPGA board used for more tutorials and demo projects. A link to each resource center can be found [[/programmable-logic/start|here]].
  
-{{tag>learn programmable-logic software tutorial in-work vivado arty arty-a7 arty-s7 arty-z7 cmod-a7 cmod-s7 cora-z7 genesys-2 nexys-4-ddr nexys-video zybo-z7 zybo zedboard sword }}+{{tag>learn programmable-logic software tutorial vivado arty arty-a7 arty-s7 arty-z7 cmod-a7 cmod-s7 cora-z7 genesys-2 nexys-4-ddr nexys-video zybo-z7 zybo zedboard }}